インドで電子機器&電気プロジェクトを購入

加算器回路

電子機器では、加算器回路はバイナリの加算を行いますnumbers.in 様々なコンピュータやプロセッサの他のタイプ。 加算回路はAluで使用されるだけでなく、増分または減分演算、テーブルインデックス、アドレスなどを計算するために様々なプロセッサでも使用されます。 一般的な加算回路は、合計とキャリーを出力として生成します。 これらのアドレスの主な目的は、XS-3、binary coded decimal(BCD)、gray codeなどのさまざまな形式を追加するために使用されます。 1つまたは2つの補数が負の数を指定するために使用されている場合、加算器を減算器に変更するのは小さいです。より複雑な加算器は、他の符号付き数値を表すために使用されます。 加算器回路の適用は二進数を加えるのに、加算器回路だけでなく、住所、テーブルの索引、解読および計算等のようなデジタル適用で使用されていますあ

加算回路の種類

加算回路は、ハーフ加算回路とフル加算回路の二つのタイプに分類されます

ハーフ加算回路

ハーフ加算回路は、AとBの二つの二進数を合計するために使用されます。ハーフ加算回路は、sumとcarryのような二つのo/psを持ち、合計は”S”で表され、キャリーは”C”で表されます。 搬送波信号は、複数桁の加算の次の桁へのオーバーフローを指定します。 合計’S’の値は2C+Sです。 半加算器は、2つのi/pビットを加算し、o/psと呼ばれる合計とキャリーを生成するために使用されます。 半加算器のi/p変数は、augend bits<4 7 0 5>addend bitsと称され、一方、o/P変数は、sumおよびcarryと称される。

半加算器回路

半加算器回路

半加算器の真理値表

半加算器の真理値表を以下に示します。 ここで、Karnalマップは、半加算器の合計とキャリーのブール方程式を取得するために使用されます。

半加算器の真理値表

半加算器の真理値表

半加算器の論理図

半加算器の論理図を以下に示します。A&Bが半加算器のバイナリi/psである場合、合計’S’を計算するブール関数は、入力AとBのXORゲートです。carry’C’を計算する論理関数は、AとBのANDゲートです。 普遍的なゲート、即ちNANDおよびNORのゲートがデジタル適用を設計するのに使用されている。 たとえば、下の図は、NANDゲートを使用したハーフ加算器の設計を示しています。

半加算器ロジック図

半加算器ロジック図

半加算器

エンティティhaのVHDLコードは
ポートです(a:STD_LOGIC内;
b:STD_LOGIC内;
sha:out STD_LOGIC;

cha:out std_logic);
End Ha;
Haのアーキテクチャ動作は
begin
sha<=A Xor B;
cha<=a and b;
END BEHAVIORAL

フル加算器回路

フル加算器を使用して三つの入力を追加します二進数。 フル加算器の実装はハーフ加算器と比較して困難である。 フル加算器には3つの入力と2つの出力があり、i/psはA、B、Cin、o/pは合計’S’であり、’Cout’を運びます。 フル加算器の3つの入力では、2つのi/p A Bが加算器とaugendであり、3番目のi/p Cinは先行する桁演算を実行します。 フル加算回路は2ビットのo/pを生成し、これらは信号、すなわちSとCoutで示されます。 ここで、sum=2xcout+S

フル加算器回路

フル加算器回路

フル加算器回路の真理値表

フル加算器回路の真理値表を以下に示し、これを使用してsum&キャリーのブール関数を得ることができます。 ここで、Karnalマップは、完全加算器の合計とキャリーのブール方程式を取得するために使用されます。

フル加算器の真理値表

フル加算器の真理値表

フル加算器論理図

このフル加算器論理回路は、三つの二進数、すなわちA、B、Cと二つのo/psの和とキャリーを加算するために使用されます。 このフル加算器論理回路は、2つのハーフ加算器回路で実装することができます。 最初の半分加算回路は、不完全な合計&キャリーを生成するために2つの入力を加算するために使用されます。 一方、後半の加算器は、最終的な出力を得るために、前半の加算器の合計に’Cin’を加算するために使用されます。 いずれかの半加算器論理回路がキャリーを生成する場合、o/pキャリーが存在します。 したがって、出力キャリーは半加算器のキャリー o/pのOR関数になります。

フル加算器ロジック図

フル加算器ロジック図

フル加算器のVHDLコーディング

エンティティfull_addは
ポートです(a:STD_LOGIC;
b:STD_LOGIC;
cin:STD_LOGIC;
sum:out std_logic;
Cout:Out Std_Logic);
End Full_add;
full_addのアーキテクチャ動作は
コンポーネントHaは
ポート(a : b:in STD_LOGIC;
sha:out STD_LOGIC;
cha:out STD_LOGIC);
エンドコンポーネント;
シグナルs_s,c1,c2:STD_LOGIC;
開始
HA1:haポートマップ(a,b,s_s,c1);
HA2:haポートマップ(a,b,s_s,c1);
HA2:haポートマップ(a,b,s_s,c1);
HA3:haポートマップ(a,b,s_s,c1);
HA3:haポートマップ(a,b,s_s,c1);
HA3:haポートマップ(a,b,s_s,c1);
s_S,cin,sum,c2);
cout<=c1またはC2;
動作を終了します;

したがって、これはすべて回路図と簡単に加算器回路の説明についてです,これは半分加算器を含みます,その真理値表と完全な加算器&論理図,さらに, ここにあなたのための質問があります、half adderとfull adderのアプリケーションは何ですか?

コメントを残す

メールアドレスが公開されることはありません。