kup elektronikę i projekty elektryczne w Indiach

 obwody Adder

w elektronice, Obwód adder wykonuje dodawanie binarnych numbers.in różne komputery i inne rodzaje procesorów. Układy Adder są używane nie tylko w ALUs, ale także w różnych procesorach do obliczania operacji przyrostu lub dekrementacji, indeksów tabel, adresów itp. Typowy układ adder generuje sum I carry jako wyjście. Głównym celem tych adresów są używane do dodawania różnych formatów, takich jak XS-3, binary coded decimal (BCD) i Gray code. Gdy komplement jedynki lub dwójki jest używany do określenia liczb ujemnych, zmiana dodawania na odejmowanie jest niewielka.Bardziej złożony adder jest używany do reprezentowania innych podpisanych liczb. Zastosowania obwodu adder są, obwody adder są używane nie tylko do dodawania liczb binarnych, ale także używane w aplikacjach cyfrowych, takich jak adres, indeks tabeli, dekodowanie i obliczanie itp.

rodzaje obwodów dodawania

obwody dodawania są podzielone na dwa typy, a mianowicie Obwód połowy dodawania i pełny obwód dodawania

Obwód połowy dodawania

Obwód połowy dodawania jest używany do sumowania dwóch binarnych cyfr, mianowicie A I B. pół dodawania ma dwa o/ps, takie jak sum I carry, gdzie suma jest oznaczona „S”, a carry jest oznaczona „C”. Sygnał nośny określa przepełnienie na następującą cyfrę dodawania wielocyfrowego. Wartość sumy ” S ” wynosi 2C+S. najprostszy wzór póładresówki pokazany jest poniżej. Half adder jest używany do dodawania dwóch bitów i / p i generowania sumy i przenoszenia, które są nazywane o / ps. Zmienne i / p half adder są określane jako bity dodawania augend &, podczas gdy zmienne o / p są określane jako sum I carry.

Obwód połowy Dodawarki

Obwód połowy Dodawarki

tabela prawdy o połowie Dodawarki

tabela prawdy o połowie dodawarki jest pokazana poniżej, za pomocą tego możemy uzyskać Funkcje logiczne dla sumy & carry. Tutaj Mapa Karnal służy do uzyskania równań boolowskich dla sumy i noszenia połowy adder.

tabela prawdy o połowie Adder

tabela prawdy o połowie Adder

schemat logiczny połowy Adder

schemat logiczny połowy adder jest pokazany poniżej.Jeśli a & B są binarnymi i / ps half adder, to funkcja logiczna do obliczenia sumy ” S „jest bramką XOR wejść A I B. funkcje logiczne do obliczania przenoszenia” C ” są bramką AND A I B. z poniższego diagramu logicznego half adder jest bardzo jasne, wymaga jednej bramki AND I jednej bramki XOR. Bramy uniwersalne, czyli NAND i Nor, służą do projektowania dowolnej aplikacji cyfrowej. Na przykład na poniższym rysunku przedstawiono projektowanie half adder przy użyciu bramek NAND.

schemat logiczny Half Adder

schemat logiczny Half Adder

kod VHDL dla Half Adder

entity ha to
Port (a: w STD_LOGIC;
b : W STD_LOGIC;
sha : out std_logic;

cha : Out Std_logic);
koniec Ha;
architektura behawioralna ha to
początek
Sha <= a xor B ;
cha <= a i B ;
koniec behawioralna

pełny układ dodawania

pełne dodawanie służy do dodawania trzech wejściowych liczb binarnych. Implementacja full adder jest trudna w porównaniu z half adder. Full adder ma trzy wejścia i dwa wyjścia, i / ps To A, B i Cin, A o/p to sum ’ S 'I carry 'Cout’. W trzech wejściach pełnego Addera dwa i / ps A B to add I augend, gdzie trzecie I / p Cin jest kontynuowane przed operacją cyfry. Pełny Układ adder generuje dwa bity o / p i są one oznaczone sygnałami a mianowicie S I Cout. Gdzie sum = 2xcout+s

pełny obwód dodawania

pełny obwód dodawania

tabela prawdy pełnego dodawania

tabela prawdy pełnego obwodu dodawania jest pokazana poniżej, za pomocą tego możemy uzyskać Funkcje logiczne dla sumy & carry. Tutaj Mapa Karnal jest używana do uzyskania równań boolowskich dla sumy i przenoszenia pełnego dodawania.

tabela prawdy pełnego dodawania

tabela prawdy pełnego dodawania

schemat logiczny pełnego dodawania

ten układ logiczny pełnego dodawania służy do dodawania trzech liczb binarnych, a mianowicie A, B I C oraz dwóch o/ps sum I carry. Ten pełny układ logiczny adder może być zaimplementowany z dwoma układami Half adder. Układ dodawania pierwszej połowy służy do dodania dwóch wejść w celu wygenerowania niekompletnej sumy &. Natomiast dodawanie drugiej połowy służy do dodawania 'Cin’do sumy pierwszej połowy dodawania, aby uzyskać końcowy wynik. Jeśli jakikolwiek układ logiczny połowy Addera generuje przenoszenie, będzie przenoszenie o / P. Tak więc wyjście carry będzie funkcją OR z half adder ’ s carry o / P. spójrz na pełny układ logiczny adder pokazany poniżej.

pełny schemat logiczny dodawania

pełny schemat logiczny dodawania

kodowanie VHDL dla pełnego dodawania

entity full_add to
Port ( a : w STD_LOGIC;
b : W STD_LOGIC;
cin : w std_logic;
Sum : out std_logic;
Cout : Out std_logic);
end full_add;
zachowanie architektury Full_add to
komponent ha to
port ( a : w STD_LOGIC;
b : w std_logic;
sha : out std_logic;
cha : out std_logic);
komponent końcowy;
sygnał s_s,c1,c2: STD_LOGIC ;
początek
HA1:Mapa portu ha(a,b,s_s,c1);
HA2:mapa portu ha (s_s,CIN,Sum,C2);
cout<=C1 lub C2 ;
koniec;

Tak więc, chodzi o Wyjaśnienie obwodu Adder w skrócie ze schematem obwodu, który zawiera pół adder, pełny adder z ich tabelami prawdy & diagramy logiczne, ponadto wszelkie pytania dotyczące tego tematu lub pomysły na projekty inżynieryjne dla studentów ostatniego roku inżynierii wyrażają swoją opinię, komentując w sekcji komentarzy poniżej. Oto pytanie do ciebie, jakie są zastosowania half adder i full adder?

Dodaj komentarz

Twój adres e-mail nie zostanie opublikowany.