køb elektronik & elektriske projekter i Indien

Adder kredsløb

i elektronik, adder kredsløb udfører tilføjelse af den binære numbers.in forskellige computere og andre typer af processorer. Adder-kredsløb bruges ikke kun i alu ‘ er, men bruges også i forskellige processorer til at beregne trin-eller formindskelsesoperationer, tabelindekser, adresser osv. En typisk adder kredsløb genererer sum og bære som output. Hovedformålet med disse adresser bruges til at tilføje de forskellige formater som f.eks. Når ens eller to kompliment bruges til at specificere negative tal, er det lille at ændre adder til subtraktor.En mere kompleks adder bruges til at repræsentere andre underskrevne numre. Applikationerne af adder kredsløb er, adder kredsløb bruges ikke kun til at tilføje binære tal, men bruges også i digitale applikationer som adresse, tabelindeks, afkodning og beregning mv.

typer af Adder kredsløb

Adder kredsløb er klassificeret i to typer, nemlig halv Adder kredsløb og fuld Adder kredsløb

halv Adder kredsløb

halv adder kredsløb bruges til at opsummere to binære cifre nemlig A og B. halv adder har to O/ps såsom sum og carry, hvor summen er betegnet med ‘S’ og carry er betegnet med ‘C’. Bæresignalet angiver et overløb i det følgende ciffer i en flercifret tilføjelse. Værdien af summen ‘ S ‘ er 2C+S. det enkleste design af half adder er vist nedenfor. Den halve adder bruges til at tilføje to i/p bits og generere en sum og bære, der kaldes som O/ps. I / p-variablerne i halvadderen betegnes som augend bits & addend bits, mens o/p-variablerne betegnes som sum og carry.

Half Adder Circuit

Half Adder Circuit

Truth Table of Half Adder

sandhedstabellen for half adder er vist nedenfor, ved hjælp af dette kan vi få de Boolske funktioner til sum & bære. Her bruges Karnal-kort til at få de boolske ligninger for summen og bæringen af den halve adder.

sandhedstabel for halv Adder

sandhedstabel for halv Adder

halv Adder Logikdiagram

logikdiagrammet for halv adder er vist nedenfor.Hvis en & B er binær i/ps af den halve adder, så er den boolske funktion til beregning af summen ‘S’ er porten til input a og B. Logiske funktioner til beregning af bæringen ‘C’ er og porten til A og B. fra nedenstående halvadder logikdiagram er det meget klart, det kræver en og gate og en port. De universelle porte, nemlig NAND og NOR gates, bruges til at designe enhver digital applikation. For eksempel, her i nedenstående figur viser udformningen af en halv adder hjælp NAND porte.

Half Adder Logic Diagram

Half Adder Logic Diagram

VHDL kode for Half Adder

enhed ha er
Port (A: i STD_LOGIC;
b : i STD_LOGIC;
sha : out std_logic;

cha : out Std_logic);
end Ha;
arkitektur behavioral of Ha er
begin
Sha <= A og B ;
end behavioral

fuld adder kredsløb

en fuld adder bruges til at tilføje tre input binære tal. Implementering af fuld adder er vanskelig sammenlignet med halv adder. Fuld adder har tre indgange og to udgange, i/ps er A, B og Cin og o/p er summen ‘S’ og bære ‘Cout’. I tre indgange af den fulde adder er to i/ps A B addend og augend, hvor tredje i/p Cin fortsætter den foregående cifferdrift. Det fulde adder kredsløb genererer en to bit o / p, og disse er betegnet med signalerne nemlig S og Cout. Hvor sum= 2udgang + s

fuld Adder kredsløb

fuld Adder kredsløb

sandhedstabel af fuld Adder

sandhedstabellen af fuld adder kredsløb er vist nedenfor, ved hjælp af dette kan vi få de Boolske funktioner til sum & bære. Her bruges Karnal-kort til at få de boolske ligninger for summen og bæringen af den fulde adder.

sandhedstabel over Fuld Adder

sandhedstabel over Fuld Adder

fuld Adder Logic Diagram

dette fulde adder logic kredsløb bruges til at tilføje tre binære tal, nemlig A, B og C, og to O/ps sum og carry. Denne fulde adder logik kredsløb kan implementeres med to halv adder kredsløb. Den første halvdel adder kredsløb bruges til at tilføje de to indgange til at generere en ufuldstændig sum & bære. Mens en anden halv-adder bruges til at tilføje ‘Cin’ til summen af den første halv-adder for at få den endelige output. Hvis nogen halv adder logik kredsløb genererer en carry, vil der være en o/p carry. Så output carry vil være en eller funktion af den halve adder s carry o/p. Tag et kig på den fulde adder logik kredsløb vist nedenfor.

fuld Adder Logic Diagram

fuld Adder Logic Diagram

VHDL kodning for fuld Adder

entity full_add er
Port ( A : i STD_LOGIC;
b : i STD_LOGIC;
cin : i std_logic;
sum : out std_logic;
Cout : out Std_logic);
end full_add;
arkitektur behavioral of full_add er
komponent ha er
port ( a : i STD_LOGIC;
b : i STD_LOGIC;
sha : ud STD_LOGIC;
cha : ud STD_LOGIC);
slutkomponent;
signal s_s,c1,c2: STD_LOGIC ;
begynd
HA1:ha portkort(a,b,s_s,c1);
HA2:ha portkort (S_S,CIN,sum,C2);
cout<=C1 eller C2 ;
end behavioral;

dette handler således kort om Adder-Kredsløbsforklaringen med kredsløbsdiagram, som inkluderer en halv adder, fuld adder med deres sandhedstabeller & logikdiagrammer, desuden eventuelle spørgsmål vedrørende dette emne eller tekniske projektideer til sidste års ingeniørstuderende giver din feedback ved at kommentere i kommentarfeltet nedenfor. Her er et spørgsmål til dig, hvad er anvendelserne af half adder og full adder?

Skriv et svar

Din e-mailadresse vil ikke blive publiceret.